VHDL Implementation Of Reconfigurable Crossbar Switch For Binoc Router

نویسنده

  • Priya M. Nerkar
چکیده

Network-on-Chip (NoC) is the interconnection platform that answers the requirements of the modern on-Chip design. Small optimizations in NoC router architecture can show a significant improvement in the overall performance of NoC based systems. Power consumption, area overhead and the entire NoC performance is influenced by the router crossbar switch. This paper presents implementation of 10x10 reconfigurable crossbar switch (RCS) architecture for Dynamic Self-Reconfigurable BiNoC Architecture for Network On Chip. Its main purpose is to increase the performance, flexibility. This paper presents a VHDL based cycle accurate register transfer level model for evaluating the, Power and Area of reconfigurable crossbar switch in BiNoC architectures. We implemented a parameterized register transfer level design of reconfigurable crossbar switch (RCS) architec ture. The design is parameterized on (i) size of packets, (ii) length and width of physical links, (iii) number, and depth of arbi ters, and (iv) switching technique. The paper discusses in detail the architecture and characterization of the various reconfigurable crossbar switch (RCS) architecture components. The characterized values were integrated into the VHDL based RTL design to build the cycle accurate performance model. In this paper we show the result of simple 4 x4 as well as 10x10 crossbar switch .The results include VHDL simulation of RCS on ModelSim tool for 4 x4 crossbar switch and Xilinx ISE 13.1 software tool for 10x10 crossbar switch.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Power and Area Efficient Design of Reconfigurable Crossbar Switch for BiNoC Router

Network-on-Chip (NOC) has been proposed as an attractive alternative to traditional dedicated wire to achieve high performance and modularity. Power and Area efficiency is the most important concern in NOC design. Small optimizations in NoC router architecture can show a significant improvement in the overall performance of NoC based systems. Power consumption, area overhead and the entire NoC ...

متن کامل

Reconfigurable 40 GHz BiCMOS uniform delay crossbar switch for broadband and wide tuning range narrowband applications

A wide-band crossbar switch configured as a non-blocking signal router can be used in various applications that need reconfigurable digital or analog cross connections such as network switches, CPU-memory connecting modules and wide tuning range radar switches. Current mode logic using IBM 8HP SiGe heterojunction bipolar transistors having fTs of 210 GHz and a symmetrical signal path design are...

متن کامل

Design and implementation of fault-tolerant and cost effective crossbar switches for multiprocessor - Computers and Digital Techniques, IEE Proceedings-

Two general crossbar switch models are proposed: the modified one-sided crossbar switch and the ripple K one-sided crossbar switch. They both balance cost and reliability, where cost is expressed in terms of crosspoint count or area. The two-sided crossbar switch and the one-sided crossbar switch are two cases of these structures. These structures provide choices for compromising structures bet...

متن کامل

Starvation Free Scheduler for Buffered Crossbar Switches (RESEARCH NOTE)

Need for high speed internet connectivity has lead to a substantial research in switching systems. Buffered crossbar switches have received a lot of attention from both research and industrial communities due of its flexibility and scalability. Designing a scheduling algorithm for buffered crossbar switches without starvation is a major challenge as of now. In this paper, we proposed a Delay ba...

متن کامل

Optoelectronic Router with MOEMS–Based Reconfigurable Shuffle Network

An optoelectronic router with a shuffle exchange network is presented and enhanced by adding micro-optical-electrical mechanical systems (MOEMS) in the network to add the ability to reconfigure the shuffle network. The MOEMS described here are fully connected any-to-any crossbar switches. The added reconfigurability gives the opportunity to adapt for different common application characteristics...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2013